Welcome![Sign In][Sign Up]
Location:
Search - prbs vhdl

Search list

[Otherprbs

Description: 伪随机序列产生器,VHDL程序,不记得在哪个论坛上下的。
Platform: | Size: 1673 | Author: 韩丹 | Hits:

[VHDL-FPGA-Verilogpseudorandom

Description: 伪随机m序列产生的VHDL语言程序- program in VHDL language for generating pseudo-random m sequence
Platform: | Size: 2048 | Author: 张庆辉 | Hits:

[Otherprbs

Description: 伪随机序列产生器,VHDL程序,不记得在哪个论坛上下的。-Pseudo-random sequence generator, VHDL procedures, do not remember in which forum from top to bottom.
Platform: | Size: 2048 | Author: 韩丹 | Hits:

[Booksprbs

Description:
Platform: | Size: 166912 | Author: 5656 | Hits:

[VHDL-FPGA-Verilogp15_gen4

Description: VHDL module for parallel (4bits) PRBS 2E15-1 generation
Platform: | Size: 1024 | Author: desepe | Hits:

[Modem programPRBS

Description: pseudo random bit sequence generator
Platform: | Size: 10240 | Author: sai | Hits:

[VHDL-FPGA-Verilogjk

Description: Different vhdl programs are like jk flip flops, conters,prbs generator,multiplier,8-bit adder are uploaded
Platform: | Size: 34816 | Author: vara | Hits:

[VHDL-FPGA-VerilogPRBS

Description: Documents about VHDL
Platform: | Size: 186368 | Author: Caguru | Hits:

[VHDL-FPGA-VerilogPRBS

Description: 代码是伪随机数生成和检测的模块,用于通信行业的FPGA编程。包括VHDL和Verilog两种语言的版本。用于做接口测试。-This module generates or check a PRBS pattern.
Platform: | Size: 7168 | Author: jinse | Hits:

CodeBus www.codebus.net